000 07948nam a22006735i 4500
001 978-3-540-45716-9
003 DE-He213
005 20240423132526.0
007 cr nn 008mamaa
008 121227s2002 gw | s |||| 0|eng d
020 _a9783540457169
_9978-3-540-45716-9
024 7 _a10.1007/3-540-45716-X
_2doi
050 4 _aTK7867-7867.5
072 7 _aTJFC
_2bicssc
072 7 _aTEC008010
_2bisacsh
072 7 _aTJFC
_2thema
082 0 4 _a621.3815
_223
245 1 0 _aIntegrated Circuit Design. Power and Timing Modeling, Optimization and Simulation
_h[electronic resource] :
_b12th International Workshop, PATMOS 2002, Seville, Spain, September 11 - 13, 2002 /
_cedited by Bertrand Hochet, Antonio J. Acosta, Manuel J. Bellido.
250 _a1st ed. 2002.
264 1 _aBerlin, Heidelberg :
_bSpringer Berlin Heidelberg :
_bImprint: Springer,
_c2002.
300 _aXVI, 500 p.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
490 1 _aLecture Notes in Computer Science,
_x1611-3349 ;
_v2451
505 0 _aOpening -- The First Quartz Electronic Watch -- Arithmetics -- An Improved Power Macro-Model for Arithmetic Datapath Components -- Performance Comparison of VLSI Adders Using Logical Effort -- MDSP: A High-Performance Low-Power DSP Architecture -- Low-Level Modeling and Characterization -- Impact of Technology in Power-Grid-Induced Noise -- Exploiting Metal Layer Characteristics for Low-Power Routing -- Crosstalk Measurement Technique for CMOS ICs -- Instrumentation Set-up for Instruction Level Power Modeling -- Asynchronous and Adiabatic Techniques -- Low-Power Asynchronous A/D Conversion -- Optimal Two-Level Delay — Insensitive Implementation of Logic Functions -- Resonant Multistage Charging of Dominant Capacitances -- A New Methodology to Design Low-Power Asynchronous Circuits -- Designing Carry Look-Ahead Adders with an Adiabatic Logic Standard-Cell Library -- CAD Tools and Algorithms -- Clocking and Clocked Storage Elements in Multi-GHz Environment -- Dual Supply Voltage Scaling in a Conventional Power-Driven Logic Synthesis Environment -- Transistor Level Synthesis Dedicated to Fast I.P. Prototyping -- Robust SAT-Based Search Algorithm for Leakage Power Reduction -- Timing -- PA-ZSA (Power-Aware Zero-Slack Algorithm): A Graph-Based Timing Analysis for Ultra-Low Power CMOS VLSI -- A New Methodology for Efficient Synchronization of RNS-Based VLSI Systems -- Clock Distribution Network Optimization under Self-Heating and Timing Constraints -- A Technique to Generate CMOS VLSI Flip-Flops Based on Differential Latches -- Gate-Level Modeling -- A Compact Charge-Based Propagation Delay Model for Submicronic CMOS Buffers -- Output Waveform Evaluation of Basic Pass Transistor Structure -- An Approach to Energy Consumption Modeling in RC Ladder Circuits -- Structure Independent Representation of OutputTransition Time for CMOS Library -- Memory Optimization -- A Low Energy Clustered Instruction Memory Hierarchy for Long Instruction Word Processors -- Design and Realization of a Low Power Register File Using Energy Model -- Register File Energy Reduction by Operand Data Reuse -- Energy-Efficient Design of the Reorder Buffer -- High-Level Modeling and Design -- Trends in Ultralow-Voltage RAM Technology -- Offine Data Profiling Techniques to Enhance Memory Compression in Embedded Systems -- Performance and Power Comparative Study of Discrete Wavelet Transform on Programmable Processors -- Power Consumption Estimation of a C Program for Data-Intensive Applications -- Communications Modeling and Activity Reduction -- A Low Overhead Auto-Optimizing Bus Encoding Scheme for Low Power Data Transmission -- Measurement of the Switching Activity of CMOS Digital Circuits at the Gate Level -- Low-Power FSMs in FPGA: Encoding Alternatives -- Synthetic Generation of Events for Address-Event-Representation Communications -- Posters -- Reducing Energy Consumption via Low-Cost Value Prediction -- Dynamic Voltage Scheduling for Real Time Asynchronous Systems -- Efficient and Fast Current Curve Estimation of CMOS Digital Circuits at the Logic Level -- Power Efficient Vector Quantization Design Using Pixel Truncation -- Minimizing Spurious Switching Activities in CMOS Circuits -- Modeling Propagation Delay of MUX, XOR, and D-Latch Source-Coupled Logic Gates -- Operating Region Modelling and Timing Analysis of CMOS Gates Driving Transmission Lines -- Selective Clock-Gating for Low Power/Low Noise Synchronous Counters -- Probabilistic Power Estimation for Digital Signal Processing Architectures -- Modeling of Propagation Delay of a First Order Circuit with a Ramp Input -- Characterization of Normal Propagation Delayfor Delay Degradation Model (DDM) -- Automated Design Methodology for CMOS Analog Circuit Blocks in Complex Systems.
520 _aThe International Workshop on Power and Timing Modeling, Optimization, and Simulation PATMOS 2002, was the 12th in a series of international workshops 1 previously held in several places in Europe. PATMOS has over the years evolved into a well-established and outstanding series of open European events on power and timing aspects of integrated circuit design. The increased interest, espe- ally in low-power design, has added further momentum to the interest in this workshop. Despite its growth, the workshop can still be considered as a very - cused conference, featuring high-level scienti?c presentations together with open discussions in a free and easy environment. This year, the workshop has been opened to both regular papers and poster presentations. The increasing number of worldwide high-quality submissions is a measure of the global interest of the international scienti?c community in the topics covered by PATMOS. The objective of this workshop is to provide a forum to discuss and inves- gate the emerging problems in the design methodologies and CAD-tools for the new generation of IC technologies. A major emphasis of the technical program is on speed and low-power aspects with particular regard to modeling, char- terization, design, and architectures. The technical program of PATMOS 2002 included nine sessions dedicated to most important and current topics on power and timing modeling, optimization, and simulation. The three invited talks try to give a global overview of the issues in low-power and/or high-performance circuit design.
650 0 _aElectronic circuits.
650 0 _aEngineering mathematics.
650 0 _aEngineering
_xData processing.
650 0 _aComputer science
_xMathematics.
650 0 _aComputers.
650 0 _aMicroprocessors.
650 0 _aComputer architecture.
650 0 _aElectronic digital computers
_xEvaluation.
650 1 4 _aElectronic Circuits and Systems.
650 2 4 _aMathematical and Computational Engineering Applications.
650 2 4 _aMathematics of Computing.
650 2 4 _aComputer Hardware.
650 2 4 _aProcessor Architectures.
650 2 4 _aSystem Performance and Evaluation.
700 1 _aHochet, Bertrand.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
700 1 _aAcosta, Antonio J.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
700 1 _aBellido, Manuel J.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
710 2 _aSpringerLink (Online service)
773 0 _tSpringer Nature eBook
776 0 8 _iPrinted edition:
_z9783540441434
776 0 8 _iPrinted edition:
_z9783662177396
830 0 _aLecture Notes in Computer Science,
_x1611-3349 ;
_v2451
856 4 0 _uhttps://doi.org/10.1007/3-540-45716-X
912 _aZDB-2-SCS
912 _aZDB-2-SXCS
912 _aZDB-2-LNC
912 _aZDB-2-BAE
942 _cSPRINGER
999 _c188708
_d188708